能否使用GHDL+GTKWave代替Quartus ii (续——vhdl_testbench_cli)

vhdl_testbench_cli项目介绍

这是我放在gitee上的一个项目。

项目是用于Mac系统下生成vhdl testbench的工具。

主要就是续着这篇文章《能否使用GHDL+GTKWave代替Quartus ii》做出来的。

目前尚有一些bug,可以一起发现、探讨。

vhdl_testbench_cli使用

安装

gitee上有关于vhdl_testbench_cli安装的详细说明,可以到这里查看。

快速上手

  1. 移动到VHDL文件所在目录,不一定要使用示例里的,也可以用你自己编写的vhdl文件

    $ cd vhdl/half_adder
    
  2. 开始处理文件

    $ ghdl-cli half_adder.vhd -v a.vcd -o
    
  3. 输入波形生成test bench文件

    a: _-_-
    b: __--
    
  4. 编译执行生成vcd文件并用gtkwave打开它

    能否使用GHDL+GTKWave代替Quartus ii (续——vhdl_testbench_cli)

  5. 使用ghdl-cli -h可以查阅更详细的说明

写在最后

这个工具使用过程中遇到任何问题,欢迎到底下留言。