急求FPGA设计的多功能波形发生器的目标芯片,该怎么处理

急求FPGA设计的多功能波形发生器的目标芯片
小女子做的课题,初次接触FPGA的设计
用的是Quartus2的平台运行,综合编译已通过,但是时序仿真出了错:说是目标芯片不适用。
求各位大侠能指点指点,给出合适波形发生器的芯片型号,先在此谢过
下为VHDL开头部分程序:
 library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity mine4 is
  port(clk:in std_logic;  
  set,clr,up,down,zu,zd:in std_logic;  
  posting:in std_logic;  
  u0,d0,sw:in std_logic;  
  ss:in std_logic_vector(3 downto 0);  
  sss:in std_logic_vector(4 downto 0);  
  Data3,Data2,Data1,Data0:in std_logic_vector(3 downto 0);  
  p180:out std_logic;  
  lcd:out std_logic_vector(7 downto 0);  
  shift:out std_logic_vector(3 downto 0);  
  dd,a:out std_logic_vector(7 downto 0));  
end mine4;
如能详细指点,私下Q聊

------解决方案--------------------
好熟悉的题目,

楼主找下 1997-2003等年的全国大学生电子设计大赛的 相关资料吧,
里面好像 就有“多功能波形发生器波形发生器”,并且有好多优秀的学生 就是采用逻辑IC实现的。

很代码和相关设计文档,非常值得参考。


至于楼主这个具体问题,是否因为你选择的器件 对应的逻辑单元、资源不够,可以选取一片资源比较丰富的FPGA试试吧。
------解决方案--------------------
大部分芯片应该都可以的,你的问题应该是Quartus2设置的问题。